Subroutine Coverage

blib/lib/Verilog/VCD.pm
Criterion Covered Total %
subroutine 16 16 100.0
pod 10 13 76.9


line count pod subroutine
3 7 n/a BEGIN
4 7 n/a BEGIN
5 7 n/a BEGIN
30 6 Yes list_sigs
48 33 Yes parse_vcd
210 387 No read_more_lines
231 24 No calc_mult
288 915 No trim
293 10 Yes get_timescale
297 8 Yes get_endtime
301 4 Yes get_closetime
305 2 Yes get_date
309 2 Yes get_version
313 6 Yes get_decl_comments
317 3 Yes get_sim_comments
321 6 Yes get_dumps