Subroutine Coverage

blib/lib/Verilog/VCD.pm
Criterion Covered Total %
subroutine 14 14 100.0
pod 9 11 81.8


line count pod subroutine
3 5 n/a BEGIN
4 5 n/a BEGIN
5 5 n/a BEGIN
29 5 Yes list_sigs
47 29 Yes parse_vcd
213 20 No calc_mult
270 697 No trim
275 7 Yes get_timescale
279 6 Yes get_endtime
283 1 Yes get_date
287 1 Yes get_version
291 4 Yes get_decl_comments
295 2 Yes get_sim_comments
299 4 Yes get_dumps