Subroutine Coverage

blib/lib/Verilog/Netlist/PinSelection.pm
Criterion Covered Total %
subroutine 8 8 100.0
pod 4 5 80.0


line count pod subroutine
7 8 n/a BEGIN
8 8 n/a BEGIN
9 8 n/a BEGIN
18 852 No new
30 210 Yes netname
36 19 Yes lsb
42 126 Yes msb
48 79 Yes bracketed_msb_lsb