Subroutine Coverage

blib/lib/Verilog/Netlist/ModPort.pm
Criterion Covered Total %
subroutine 13 27 48.1
pod 13 21 61.9


line count pod subroutine
7 8 n/a BEGIN
8 8 n/a BEGIN
9 8 n/a BEGIN
10 8 n/a BEGIN
11 8 n/a BEGIN
33 0 No delete
47 0 Yes netlist
49 0 No is_top
51 0 No keyword
54 0 Yes logger
58 8 No find_net
65 0 Yes find_port
70 0 Yes find_port_by_index
79 0 No attrs_sorted
82 0 Yes nets
85 0 Yes nets_sorted
88 6 Yes ports
91 2 Yes ports_sorted
94 0 Yes ports_ordered
99 0 Yes nets_and_ports_sorted
103 0 No new_attr
109 4 No new_net
120 4 No new_port
129 6 n/a _link
137 0 Yes lint
146 1 Yes verilog_text
162 1 Yes dump