Subroutine Coverage

blib/lib/Verilog/Netlist/File.pm
Criterion Covered Total %
subroutine 34 38 89.4
pod 3 5 60.0


line count pod subroutine
6 8 n/a BEGIN
8 8 n/a BEGIN
9 8 n/a BEGIN
10 8 n/a BEGIN
11 8 n/a BEGIN
37 8 n/a BEGIN
38 8 n/a BEGIN
39 8 n/a BEGIN
40 8 n/a BEGIN
43 239 n/a new
87 6 n/a contassign
103 4 n/a defparam
119 6 n/a interface
136 2 n/a modport
153 246 n/a module
173 2 n/a program
178 6 n/a endinterface
183 2 n/a endmodport
190 252 n/a endmodule
197 2 n/a endprogram
202 204 n/a attribute
224 932 n/a port
249 12796 n/a var
298 450 n/a instant
317 450 n/a endcell
323 220 n/a parampin
335 849 n/a pin
342 849 n/a pinselects
381 313 n/a keyword
387 234 n/a comment
408 0 n/a error
417 0 n/a warn
431 203 No delete
437 0 Yes logger
442 249 Yes read
486 35 No link
488 70 n/a _link
492 0 Yes dump