Subroutine Coverage

blib/lib/Verilog/Preproc.pm
Criterion Covered Total %
subroutine 18 22 81.8
pod 12 16 75.0


line count pod subroutine
6 14 n/a BEGIN
7 14 n/a BEGIN
10 14 n/a BEGIN
11 14 n/a BEGIN
12 14 n/a BEGIN
35 499 Yes new
83 463 n/a DESTROY
88 1 No STORABLE_freeze
95 555 Yes open
123 0 No debug
130 0 Yes parent
138 555 No remove_defines
151 0 No fileline
159 1 Yes error
165 0 Yes comment
168 20 Yes def_substitute
172 33 Yes include
184 140 Yes undef
188 19 Yes undefineall
192 8519 Yes define
199 2529 Yes def_params
207 1716 Yes def_value