Subroutine Coverage

blib/lib/Verilog/Parser.pm
Criterion Covered Total %
subroutine 24 28 85.7
pod 14 20 70.0


line count pod subroutine
6 11 n/a BEGIN
7 11 n/a BEGIN
8 11 n/a BEGIN
9 11 n/a BEGIN
12 11 n/a BEGIN
14 11 n/a BEGIN
15 11 n/a BEGIN
55 490 Yes new
97 490 n/a DESTROY
105 2 Yes callback_names
110 1447 No debug
120 0 No fileline
124 0 No line
130 489 No reset
135 515 No std
159 0 Yes parse_file
178 463 Yes parse_preproc_file
197 0 No error
205 153 Yes attribute
212 3950 Yes comment
219 1039 Yes string
226 64129 Yes keyword
233 73618 Yes symbol
240 131317 Yes operator
247 1704 Yes preproc
257 21977 Yes number
264 1655 Yes sysfunc
271 486 Yes endparse