Subroutine Coverage

blib/lib/Verilog/Netlist/Interface.pm
Criterion Covered Total %
subroutine 27 37 72.9
pod 17 30 56.6


line count pod subroutine
7 8 n/a BEGIN
8 8 n/a BEGIN
9 8 n/a BEGIN
10 8 n/a BEGIN
11 8 n/a BEGIN
12 8 n/a BEGIN
13 8 n/a BEGIN
38 0 No delete
58 6 No is_top
60 0 No keyword
63 0 Yes logger
67 0 No find_modport
72 8 No find_port
77 0 Yes find_port_by_index
85 2 No find_cell
90 10 Yes find_net
98 0 No attrs_sorted
101 21 No cells
104 6 No cells_sorted
107 18 Yes modports
110 6 Yes modports_sorted
113 18 Yes nets
116 6 Yes nets_sorted
119 18 Yes ports
122 6 Yes ports_sorted
125 0 Yes ports_ordered
130 0 Yes nets_and_ports_sorted
134 6 Yes new_net
145 0 No new_attr
151 2 No new_modport
159 2 No new_port
168 2 No new_cell
172 3 Yes level
186 18 Yes link
203 0 Yes lint
218 3 Yes verilog_text
245 6 Yes dump