Subroutine Coverage

blib/lib/Verilog/SigParser.pm
Criterion Covered Total %
subroutine 34 36 94.4
pod 29 30 96.6


line count pod subroutine
8 10 n/a BEGIN
9 10 n/a BEGIN
10 10 n/a BEGIN
11 10 n/a BEGIN
12 10 n/a BEGIN
54 486 Yes new
68 0 No metacomment
76 1 Yes callback_names
84 3950 Yes comment
106 672 Yes contassign
112 1020 Yes class
119 154 Yes covergroup
125 52 Yes defparam
131 1020 Yes endclass
135 1554 Yes endcell
139 154 Yes endgroup
143 156 Yes endinterface
147 154 Yes endmodport
151 2652 Yes endtaskfunc
155 4906 Yes endmodule
159 160 Yes endpackage
163 52 Yes endprogram
167 1632 Yes function
174 172 n/a import
180 1554 Yes instant
187 156 Yes interface
193 154 Yes modport
199 4753 Yes module
207 2219 Yes pin
214 0 Yes pinselects
221 160 Yes package
227 463 Yes parampin
234 8276 Yes port
244 52 Yes program
250 1020 Yes task
256 25840 Yes var