Subroutine Coverage

blib/lib/Verilog/Getopt.pm
Criterion Covered Total %
subroutine 35 39 89.7
pod 23 29 79.3


line count pod subroutine
8 15 n/a BEGIN
9 15 n/a BEGIN
10 15 n/a BEGIN
11 15 n/a BEGIN
12 15 n/a BEGIN
13 15 n/a BEGIN
14 15 n/a BEGIN
37 752 Yes new
64 3 n/a _filedir
73 6 No parameter_file
96 21 Yes parameter
105 33 n/a _parameter_parse
197 51 n/a _parse_file_arg
212 8544 No fileline
217 108 Yes incdir
231 7 Yes libext
245 12 Yes library
258 134 Yes module_dir
272 563 Yes depend_files
292 3 Yes get_parameters
330 0 Yes write_parameters_file
340 33 Yes includes
353 0 No remove_duplicates
362 3 Yes file_skip_special
369 97 Yes file_abs
386 370 Yes file_substitute
401 100 No file_path_cache_flush
407 601 Yes file_path
464 0 Yes libext_matches
475 1 No map_directories
494 3 Yes define_names_sorted
499 1186 No defcmdline
510 2529 Yes defparams
522 1730 Yes defvalue
533 555 Yes defvalue_nowarn
543 8548 Yes define
573 140 Yes undef
583 19 Yes undefineall
592 0 Yes remove_defines