Subroutine Coverage

blib/lib/Sub/Multi/Tiny/SigParse.pm
Criterion Covered Total %
subroutine 3 3 100.0
pod n/a


line count pod subroutine
11 14 n/a BEGIN
12 14 n/a BEGIN
15 14 n/a BEGIN