Subroutine Coverage

blib/lib/Parse/Method/Signatures/Sig.pm
Criterion Covered Total %
subroutine 6 8 75.0
pod 2 2 100.0


line count pod subroutine
3 3 n/a BEGIN
4 3 n/a BEGIN
5 3 n/a BEGIN
6 3 n/a BEGIN
8 3 n/a BEGIN
57 0 n/a _build__named_map
63 0 Yes named_param
86 82 Yes to_string