Branch Coverage

/usr/local/lib/perl5/site_perl/5.26.1/x86_64-linux/PDL/PP/PDLCode.pm
Criterion Covered Total %
branch 26 288 9.0


line true false branch
465 0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 0 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
0 14 'if ( PDL->startthreadloop(&($PRIV(__pdlthread)),$PRIV(vtable)->'.$funcName.', __tr) ) return;
474 0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
0 0 'for( __tind2 = 0 ;
14 8 'for( __tind2 = 0 ;
480 0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
0 0 for( __tind1 = 0 ;
14 8 for( __tind1 = 0 ;
492 0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 0 my ($ord,$pdls) = $parent->get_pdls();
0 14 my ($ord,$pdls) = $parent->get_pdls();
0 14 my ($ord,$pdls) = $parent->get_pdls();
8 6 my ($ord,$pdls) = $parent->get_pdls();
493 0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
0 0 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
21 6 PDL::PP::pp_line_numbers(__LINE__, join "\n ",
495 0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 0 'PDL_COMMENT("THREADLOOPEND")',
0 21 'PDL_COMMENT("THREADLOOPEND")',
0 21 'PDL_COMMENT("THREADLOOPEND")',
2 19 'PDL_COMMENT("THREADLOOPEND")',
499 0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 0 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 6 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 6 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
4 2 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
0 8 '} while(PDL->iterthreadloop(&$PRIV(__pdlthread),2));'
500 0 0 )
0 0 )
0 0 )
0 0 )
0 0 )
0 0 )
0 0 )
9 2 )
502 0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 9
0 9
2 7