Subroutine Coverage

blib/lib/Log/Agent/Tag/Callback.pm
Criterion Covered Total %
subroutine 5 5 100.0
pod 1 3 33.3


line count pod subroutine
14 1 n/a BEGIN
20 1 n/a BEGIN
40 2 No make
77 3 No callback
89 3 Yes string