Subroutine Coverage

blib/lib/Hardware/Verilog/Parser.pm
Criterion Covered Total %
subroutine 1 1 100.0
pod n/a


line count pod subroutine
10 1 n/a BEGIN