Subroutine Coverage

blib/lib/Device/Modem.pm
Criterion Covered Total %
subroutine 5 34 14.7
pod 24 27 88.8


line count pod subroutine
17 1 n/a BEGIN
42 1 n/a BEGIN
43 1 n/a BEGIN
46 1 n/a BEGIN
47 1 n/a BEGIN
76 0 Yes new
122 0 Yes attention
133 0 Yes dial
204 0 Yes echo
214 0 Yes hangup
229 0 Yes is_active
268 0 Yes offhook
281 0 Yes S_register
321 0 Yes repeat
331 0 Yes reset
342 0 Yes status
366 0 Yes restore_factory_settings
379 0 Yes store_number
410 0 Yes verbose
419 0 Yes wait
431 0 No flag
441 0 n/a _reset_flags
451 0 Yes send_init_string
463 0 Yes log
473 0 Yes connect
581 0 No ostype
587 0 No options
594 0 Yes port
632 0 Yes disconnect
639 0 Yes atsend
666 0 Yes write_drain
681 0 n/a _answer
758 0 Yes answer
776 0 Yes parse_answer