Subroutine Coverage

blib/lib/Device/Modbus/Serial.pm
Criterion Covered Total %
subroutine 8 10 80.0
pod 0 5 0.0


line count pod subroutine
3 1 n/a BEGIN
4 1 n/a BEGIN
5 1 n/a BEGIN
6 1 n/a BEGIN
10 1 n/a BEGIN
13 2 No open_port
55 2 No read_port
71 0 No write_port
76 0 No disconnect
81 12 No parse_buffer